当前所在位置:首页 >> 教师列表 >> 期刊论文

实验室近年发表的期刊论文

2022

[TCAD’22]Fangxin Liu, Wenbo Zhao, Zongwu Wang, Yilong Zhao, Tao Yang, Yiran Chen and Li Jiang,“IVQ: In-Memory Acceleration of DNN Inference Exploiting Varied Quantization”,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems(TCAD)2022

[TC’22]Weidong Cao, Yilong Zhao, (CO-first author), Boloor Adith Jagadish, Yinhe Han, Xuan Zhang, Li Jiang*, “Neural-PIM: Efficient Processing-In-Memory with Neural Approximation of Peripherals”, accepted by IEEE Transactions on Computers (TC), Accepted, 2022

[Frontiers’22]Fangxin Liu, Wenbo Zhao, Yongbiao Chen, Zongwu Wang, Tao Yang and Li Jiang, “SSTDP: Supervised Spike Timing Dependent Plasticity for Efficient Spiking Neural Network Training”, accepted by Frontiers in Neuroscience, section Neuromorphic Engineering, 2022

2021

 [TRETS’21]Tao Yang, Zhezhi He, Tengchuan Kou, Qingzheng Li, Qi Han, Haibao Yu, Fangxin Liu, Yun Liang, and Li Jiang. 2021. BISWSRBS: A Winograd-based CNN Accelerator with a Fine-grained Regular Sparsity Pattern and Mixed Precision Quantization. ACM Trans. Reconfigurable Technol. Syst. 14, 4, Article 18 (December 2021), 28 pages

 [TCAD’21]Zhuoran Song, Yanan Sun, Lerong Chen, Tianjian Li, Naifeng Jing, Xiaoyao Liang, Li Jiang, "ITT-RNA: Imperfection Tolerable Training for RRAM-Crossbar-Based Deep Neural-Network Accelerator," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 40, no. 1, pp. 129-142, Jan. 2021

 [TCAD’21]Yanan Sun, Chang Ma, Zhi Li, Yilong Zhao, Jiachen Jiang, Weikang Qian, Rui Yang, Zhezhi He, and Li Jiang, “Unary Coding and Variation-Aware Optimal Mapping Scheme for Reliable ReRAM-based Neuromorphic Computing,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2021, PP(99):1-1

2019

[TODAES’19]Li Jiang, Zhuoran Song, Haiyue Song, Chengwen Xu, Qiang Xu, Naifeng Jing, Weifeng Zhang, Xiaoyao Liang. Energy-Efficient and Quality-Assured Approximate Computing Framework Using a Co-Training Method. ACM Transactions on Design Automation of Electronic Systems 24(6): 59:1-59:25, 2019.(CCF-B)

2018 

[TPDS'18]Jianfei Wang, Qin Wang, Li Jiang, Chao Li, Xiaoyao Liang, and Naifeng Jing. IBOM: An Integrated and Balanced On-Chip Memory for High Performance GPGPUs, accepted by IEEE Transaction on Parallel and Distributed Systems, 29(3): 586-599, 2018. (CCF-A)

[TCAD’18] Li Jiang, Tianjian Li, Naifeng Jing, Nam Sung Kim, Minyi Guo, and Xiaoyao Liang. Cnfet-based high throughput SIMD architecture. To appear in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, TCAD, 2018

2017

[TVLSI’17]Naifeng Jing, Shunning Jiang, Shuang Chen, Jingjie Zhang, Li Jiang, Chao Li, Xiaoyao Liang, Bank Stealing for a Compact and Efficient Register File Architecture in GPGPU. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(2), pp.520-533, 2017.(CCF-B)

[Concurrency'17]Jianfei Wang, Fengfeng Fan, Li Jiang, Xiaoyao Liang, and Naifeng Jing. Incorporating selective victim cache into GPGPU for high-performance computing. Concurrency and Computation: Practice and Experience, 29(24), 2017. (CCF-C)

2016

[TC'16]Naifeng Jing, Li Jiang, Tao Zhang, Chao Li, Fengfeng Fan, Xiaoyao Liang. Energy-Efficient eDRAM-Based On-Chip Storage Architecture for GPGPUs, in IEEE Transactions on Computers.65(1), pp.122-135, Jan 1,2016.(CCF-A)

[TPDS'16]Yu Wang, Weikang Qian, Shuchang Zhang, Xiaoyao Liang, Bo Yuan. A Learning Algorithm for Bayesian Networks and Its Efficient Implementation on GPUs. IEEE Transactions on Parallel and Distributed Systems, 27(1),pp.17-30, 2016.(CCF-A)

[TCAD'16]Tianjian Li, Feng Xie, Xiaoyao Liang, Qiang Xu, Krishnendu Chakrabarty, Naifeng Jing and Li Jiang. A Novel Test Method for Metallic CNTs in CNFET-Based SRAMs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , 35(7),pp.1192~1205, 2016. (CCF-A)

[TVLSI’16] Naifeng Jing, Shunning Jiang, Shuang Chen, Jingjie Zhang, Li Jiang, Chao Li and Xiaoyao Liang. Bank Stealing for a Compact and Efficient Register File Architecture in GPGPU. IEEE Transactions on Very Large Scale Integration Systems, TVLSI, 2016

2009-2015

[TJSC’15] Tao Zhang, Jingjie Zhang, Wei Shu, Min-You Wu, Xiaoyao Liang.Efficient Graph Computation on Hybrid CPU and GPU Systems.The Journal of Supercomputing,TJSC, 2015

[TACO15] Tao Zhang, Naifeng Jing, Kaiming Jiang, Wei Shu, Min-You Wu, Xiaoyao Liang. Buddy SM: Sharing Pipeline Front-End For Improved Energy Efficiency In GPGPUs.ACM Transactions on Architecture and Code Optimization,TACO, 2015

[TODAES'11] Guihai Yan, Yinhe Han, Hui Liu, Xiaoyao Liang, Xiaowei Li..MicroFix: Using Timing Interpolation and Delay Sensors for Power Reduction.ACM Transactions on Design Automation of Electronic Systems,TODAES, 2011

[MICRO'09] Xiaoyao Liang, Gu-Yeon Wei, David Brooks.ReVIVaL, Variation Tolerant Architecture Using Voltage Interpolation and Variable Latency, IEEE Micro Top Picks, 2009

[MICRO'08] Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David Brooks,.Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability, IEEE Micro Top Picks, 2008

开源通用计算芯片平台(GPGPU) 上海交通大学 电子信息与电气工程学院

版权所有:2017年 先进计算机体系结构实验室 沪ICP备20180025

地址:上海市闵行区东川路800号上海交通大学电信群楼3号楼125室邮编:200240